图9.金金行设计减程Quartus Il Shellquartus_shQuartusllShell可用作Quartus II可执行文件的Tcl解释器源设计文件,包括VerilogDesign文件(.v)、VHDLDesign文件(.vhd)、VerilogQuartusMapping文件(.vqm),TextDesign文f(.tdi),BlockDesign文件(.bdn)以及EDIF网表文件(.edf)Analysis&Synthesisquartus_mapSimulatorDesign Assistantquartus_drcquartus_simFitterCompilerDatabasequartus_fitquartus_cdbTiming Analyzerquartus_tanPowerPlayPowerAnalyzerquartus_powAssemblerEDANetlist Writerquartus_asmquartus_edaSoftware Builderquartus_swb1EDA工具输出文件,包括SignalTap II LogicConvert1VerilogOutput文件(.vo)、ProgrammerAnalyzer1VHDL Output文件(-vho),Programming Filesquartus_pgmVQM文件和StandardDelayquartus_cpfquartus_stpFormatOutput文件(.sdo)命令行可执行文件QuartusIl软件包括用于设计流程每个阶段不同的可执行文件。每个可执行文件仅在开始运行时才占用内存。这些可执行文件能够与标准命今行命今和脚本,Tcl脚本以及Makefile脚本一起使用。有关所有命今行可执行文件的列表,请参阅表3
第 1 章 : 设计流程 命令行设计流程 16 QUARTUS II 简介 ALTERA 公司 Programmer quartus_pgm Timing Analyzer quartus_tan Analysis & Synthesis quartus_map Design Assistant quartus_drc Quartus II Shell quartus_sh Convert Programming Files quartus_cpf EDA Netlist Writer quartus_eda Compiler Database quartus_cdb Simulator quartus_sim Quartus II Shellৃ⫼Quartus II ৃᠻ㸠᭛ӊⱘTcl㾷䞞఼ ⑤䆒䅵᭛ӊˈࣙᣀVerilog Design᭛ӊ(.v)ǃVHDL Design ᭛ӊ(.vhd)ǃVerilog Quartus Mapping᭛ ӊ(.vqm)ǃText Design᭛ӊ(.tdf)ǃBlock Design ᭛ӊ(.bdf)ҹঞEDIF㔥㸼᭛ӊ(.edf) EDAᎹ䕧ߎ᭛ӊˈࣙᣀ Verilog Output᭛ӊ(.vo)ǃ VHDL Output᭛ӊ(.vho)ǃ VQM᭛ӊStandard Delay Format Output᭛ӊ(.sdo) SignalTap II Logic Analyzer quartus_stp Software Builder quartus_swb PowerPlay Power Analyzer quartus_pow Fitter quartus_fit Assembler quartus_asm 图 9. 命令行设计流程 命令行可执行文件 Quartus II 软件包括用于设计流程每个阶段不同的可执行文件。每个可执行 文件仅在开始运行时才占用内存。这些可执行文件能够与标准命令行命令和 脚本、Tcl 脚本以及 Makefile 脚本一起使用。有关所有命令行可执行文件的 列表,请参阅表 3
第1章:设计洗租命今行设计流程独业的固形用户界面可航行文件QuartusIl软件还提供一些独立的图形用户界面(GUl)可执行文件。qmegawiz可执行文件提供独立的MegawizardPlug-InManagerGUI版本。quartusPgmw可执行文件为Programmer提供独立的GUl。quartus_stpw可执行文件为SignalTapllLogicAnalyzer提供独立的GUI。表3.命本行可就行文件(第1部分,共2部分)标题可挑行文件功能quartus_mapAnalysis &Synthesis建立工程(如果尚未建立),然后建立工程数据库、综合设计并对工程设计文件进行技术映射。quartus fitFitter对设计进行市局市线。在运行Fitter之前必须成功运行Analysis&Synthesis。quartus_dreDesign Assistant根据一组设计规则检查设计的可靠性。在为HardCopy暴件转换设计之前,DesignAssistant非常有助于检查可靠性。在运行DesignAssistant之前必须成功运行Analysis&Synthesis或Fitter。quartus tanTiming Analyzer分析己实现电路的速度性能。在运行TimingAnalyzer之前必须成功运行Fitter。Assemblerquartus_asm为目标器件编程或配置建立一个或多个编程文件。在运行Assembler之前必须成功运行Fitter。quartus edaEDANetlistWriter生成与其宅EDA工具配合使用的网表文件和其宅输出文件。根据使用的选项,在运行EDANetlistWriter之前,必须成功运行Analysis&Synthesis、Fitter或者TimingAnalyzer。quartus cdbCompilerDatabase生成内部网表文件,包括用于QuartusllCompiler数Interface(包括据库的VQM文件,使它们可以用于反标和LogicLockVQMWriter)功能,反标器件和资源分配以保持适配不变,方便今后的编译还可以导入,导出版本兼容的数据库,并合并分区。在运行CompilerDatabaseInterface之前必须成功运行Fitter或Analysis&Synthesis。quartus_simSimulator对设计进行功能或时序仿真。在进行功能仿真之前必须运行Analysis&Synthesis。在进行时序仿真之前,必须运行TimingAnalyzer。Power Analyzer分析并估算设计的全部动态和静态功耗。计算输出信quartus_pow号的触发速率和静态机率。在运行PowerPlayPowerAnalyzer之前,必须成功运行Fitter。quartus_pgmProgrammer对Altera暴件编程。17QUARTUSII简介ALTERA公司
第 1 章 : 设计流程 命令行设计流程 ALTERA 公司 QUARTUS II 简介 17 [ ! 独立的图形用户界面可执行文件 Quartus II 软件还提供一些独立的图形用户界面 (GUI) 可执行文件。qmegawiz 可执行文件提 供独立的 MegaWizard Plug-In Manager GUI 版本。quartus_pgmw 可执行文件为 Programmer 提供独立的 GUI 。quartus_stpw 可执行文件为 SignalTap II Logic Analyzer 提供 独立的 GUI。 表 3. 命令行可执行文件 ( 第 1 部分,共 2 部分 ) 可执行文件 标题 功能 quartus_map Analysis & Synthesis 建立工程 ( 如果尚未建立 ) ,然后建立工程数据库、 综合设计并对工程设计文件进行技术映射。 quartus_fit Fitter 对设计进行布局布线。在运行 Fitter 之前必须成功运 行 Analysis & Synthesis。 quartus_drc Design Assistant 根据一组设计规则检查设计的可靠性。在为 HardCopy 器件转换设计之前,Design Assistant 非常 有助于检查可靠性。在运行 Design Assistant 之前必须 成功运行 Analysis & Synthesis 或 Fitter。 quartus_tan Timing Analyzer 分析已实现电路的速度性能。在运行 Timing Analyzer 之前必须成功运行 Fitter。 quartus_asm Assembler 为目标器件编程或配置建立一个或多个编程文件。在 运行 Assembler 之前必须成功运行 Fitter。 quartus_eda EDA Netlist Writer 生成与其它 EDA 工具配合使用的网表文件和其它输出 文件。根据使用的选项,在运行 EDA Netlist Writer 之 前,必须成功运行 Analysis & Synthesis、Fitter 或者 Timing Analyzer。 quartus_cdb Compiler Database Interface ( 包括 VQM Writer) 生成内部网表文件,包括用于 Quartus II Compiler 数 据库的 VQM 文件,使它们可以用于反标和 LogicLock 功能,反标器件和资源分配以保持适配不变,方便今 后的编译还可以导入、导出版本兼容的数据库,并合 并分区。在运行 Compiler Database Interface 之前必 须成功运行 Fitter 或 Analysis & Synthesis。 quartus_sim Simulator 对设计进行功能或时序仿真。在进行功能仿真之前必 须运行 Analysis & Synthesis。在进行时序仿真之前, 必须运行 Timing Analyzer。 quartus_pow Power Analyzer 分析并估算设计的全部动态和静态功耗。计算输出信 号的触发速率和静态概率。 在运行 PowerPlay Power Analyzer 之前,必须成功运行 Fitter。 quartus_pgm Programmer 对 Altera 器件编程
第1章:设计流程命今行设计流程表3.个本行可就行文件(第2部分,共2部分)标超可抗行文件功能quartus_cpfConvert将编程文件转换为辅助编程文件格式。ProgrammingFilesquartus_stpSignalTap Il Logic建立SignalTapll文件(.stp)。在Assembler之后运行Analyzer时,器件全速运行的情况下,SignalTapllLogicAnalyzer捕获内部器件节点信号。quartus_swbSoftwareBuilder为Excalibur嵌入式处理器进行设计。quartus_shTcl Shell为Quartusll软件提供Tcl脚本shell。获取有共Quartusll可抛行文件的帮助信集若要获取QuartusIl每个可抗行文件命今行选项的帮助信息,请在命今提示待下键入以下命今之一:<executablename>-h<executablename>--help<executablename>--help=<topicoroptionname>还可以使用QuartusIlCommand-LineExecutable和TclAPIHelpBrowser获取有关命今行可执行文件的帮助信息,HelpBrowser是基于Tcl和Tk的GUl,可以用宅测览命今行和TclAPI挚助信息。若要使用此帮助,请在命令提示待下键入以下命今:quartus_sh--qhelp可以单独运行每个可执行文件,也可以使用以下命今一次运行所有Compiler可执行文件:quartus_sh--flowcompile<projectname>[-c<revisionname>]-此命今将在完整编译过程中运行quartus_map、quartus_fit、quartus_asm和quartus_tan可执行文件。根据不同的设置,它还可能运行可选的quartus_drc、quartus_eda和quartuscdb可执行文件。18QUARTUSII简介ALTERA公司
第 1 章 : 设计流程 命令行设计流程 18 QUARTUS II 简介 ALTERA 公司 可以单独运行每个可执行文件,也可以使用以下命令一次运行所有 Compiler 可执行文件 : quartus_sh -flow compile <project name> [-c <revision name>] r 此命令将在完整编译过程中运行 quartus_map、quartus_fit、quartus_asm 和 quartus_tan 可执行文件。根据不同的设置,它还可能运行可选的 quartus_drc、quartus_eda 和 quartus_cdb 可执行文件。 quartus_cpf Convert Programming Files 将编程文件转换为辅助编程文件格式。 quartus_stp SignalTap II Logic Analyzer 建立 SignalTap II 文件 (.stp)。 在 Assembler 之后运行 时,器件全速运行的情况下,SignalTap II Logic Analyzer 捕获内部器件节点信号。 quartus_swb Software Builder 为 Excalibur 嵌入式处理器进行设计。 quartus_sh Tcl Shell 为 Quartus II 软件提供 Tcl 脚本 shell。 表 3. 命令行可执行文件 ( 第 2 部分,共 2 部分 ) 可执行文件 标题 功能 ! 获取有关 Quartus II 可执行文件的帮助信息 若要获取 Quartus II 每个可执行文件命令行选项的帮助信息,请在命令提示符下键入以下命 令之一 : <executable name> -h r <executable name> -help r <executable name> -help=<topic or option name> r 还可以使用 Quartus II Command-Line Executable 和 Tcl API Help Browser 获取有关命令行可 执行文件的帮助信息,Help Browser 是基于 Tcl 和 Tk 的 GUI,可以用它浏览命令行和 Tcl API 帮助信息。若要使用此帮助,请在命令提示符下键入以下命令 : quartus_sh -qhelp r
第1章:设计流租命今行设计流程【言quartus_cmd可机行文件若要在以前版本的Quartusll软件中使用quartuscmd可执行文件进行工程编译,由于其后向兼容性,国此仍支持该可执行文件:但是,Altera建议在所有新设计中,不要使用quartuscmd可抗行文件,而使用第17页上表3中列出的可抗行文件。如果您习惯使用quartus_cmd可抗行文件来编择设计,您可以通过使用带有以下选项的quartussh可抗行文件来实现同样的功能:quartus_sh --flowcompile<projectname>[-c<RevisionName>]-有些可执行文件建立单独的文本型报告文件,您可以使用任何文本编辑器查看这个文件。每个报告文件的名称使用以下格式:<revisionname>.<abbreviatedexecutablename>.rpt例如,如果要为chiptrip工程运行quartus_map可执行文件,您可以在命令提示符下键入以下命今:quartus_map chiptrip-quartus_map可执行文件将进行分析和综合,并生成名为chiptrip.map.rpt的报告文件。【利用Quartusll可抛行文件使用Quartusll直大件您在使用Quartusll可抗行文件时,Quartusll软件欢认使用和工程名称相同的修订。如果您想要使用与工程名称不同的修订,则可以使用-C选项来指定修订的名称,以及与此相关联的Quartus llSettingsFile(qsf)。例如,如果您想要为修订名称为speedch、关联文件为speed_ch.qsf的chiptrip工程运行quartus_map可执行文件,可在命令提示待状态下键入如下命今:quartus_map chiptrip-cspeed_ch-quartus_map可执行文件使用此修订和设置执行分析与综合,拜生成名称为speed_ch.map.rpt的报告文件。QuartusIl软件还提供几种使用Quartusll可执行文件的预定义编译流程。您可以使用带有quartus_sh--flow,或者Tclexecute_flow命今的命今。表4显示了一些最常用的编译流程。19ALTERA公司QUARTUSII简介
第 1 章 : 设计流程 命令行设计流程 ALTERA 公司 QUARTUS II 简介 19 有些可执行文件建立单独的文本型报告文件,您可以使用任何文本编辑器查 看这个文件。每个报告文件的名称使用以下格式 : <revision name>.<abbreviated executable name>.rpt 例如,如果要为 chiptrip 工程运行 quartus_map 可执行文件,您可以在命令 提示符下键入以下命令 : quartus_map chiptrip r quartus_map 可执行文件将进行分析和综合,并生成名为 chiptrip.map.rpt 的报告文件。 Quartus II 软件还提供几种使用 Quartus II 可执行文件的预定义编译流程。您 可以使用带有 quartus_sh -flow,或者 Tcl execute_flow 命令的命 令。 表 4 显示了一些最常用的编译流程。 ! quartus_cmd 可执行文件 若要在以前版本的 Quartus II 软件中使用 quartus_cmd 可执行文件进行工程编译,由于其后 向兼容性,因此仍支持该可执行文件 ; 但是, Altera 建议在所有新设计中,不要使用 quartus_cmd 可执行文件,而使用 第 17 页上表 3 中列出的可执行文件。如果您习惯使用 quartus_cmd 可执行文件来编译设计,您可以通过使用带有以下选项的 quartus_sh 可执行文 件来实现同样的功能 : quartus_sh -flow compile <project name> [-c < Revision Name> ]r ! 利用 Quartus II 可执行文件使用 Quartus II 设置文件 您在使用 Quartus II 可执行文件时,Quartus II 软件默认使用和工程名称相同的修订。如果您 想要使用与工程名称不同的修订,则可以使用 -c 选项来指定修订的名称,以及与此相关联的 Quartus II Settings File (.qsf)。例如,如果您想要为修订名称为 speed_ch、关联文件为 speed_ch.qsf 的 chiptrip 工程运行 quartus_map 可执行文件,可在命令提示符状态下键入如 下命令 : quartus_map chiptrip -c speed_ch r quartus_map 可执行文件使用此修订和设置执行分析与综合,并生成名称为 speed_ch.map.rpt 的报告文件
第1章:设计流租命今行设计流程表4.本本行端等流程用于quartus_sh-flow或者洗程说明execute flow的命本行选项完整编译流程进行当前设计的完整编译。compile编译和仿真流程如果是时序仿真模式,则抗行完整编compile and simulate译,然后仿真当前设计。如果是功能仿真模式,则生成功能仿真网表,然后对当前设计进行仿真。堂试相似市局流程对先前编译的设计执行完整编译,在attempt_similar_placement此过程中Fitter会比较先前和当前编译中的网表和市局,以便在当前编译中尽可能多地使用先前编译中的节点布局。SignalProbe流程在不影响设计中现有适配的情况下,signalprobe将用户指定信号引至输出引脚,以便在完成完整编译之前,进行信号调试。早期时序估算进行部分编译,但是在Fitter完成之early timing_estimate前,停止并生成早期时序估算。分区合并merge在渐进式综合之后合拜设计分区以建立单一网表,以便后续编译阶段使用。如果采用渐进式综合,或者在修改设计之后,没有重新完整编译设计,则必须运行此命今。请参阁有英信Quartus Il Help中的使用编译流程"Overview:UsingCompilationFlows使用标准命令行命今和脚本可以将QuartusIl可执行文件与任何命今行脚本方法(例如,Perl脚本,批处理文件和Tcl脚本)配合使用。可以设计这些脚本,用以建立新工程或编译现有工程。还可以由命今提示符或控制台运行可执行文件。20QUARTUSII简介ALTERA公司
第 1 章 : 设计流程 命令行设计流程 20 QUARTUS II 简介 ALTERA 公司 使用标准命令行命令和脚本 可以将 Quartus II 可执行文件与任何命令行脚本方法 ( 例如, Perl 脚本、批处 理文件和 Tcl 脚本 ) 配合使用。可以设计这些脚本,用以建立新工程或编译 现有工程。还可以由命令提示符或控制台运行可执行文件。 表 4. 命令行编译流程 流程 说明 用于 quartus_sh -flow 或者 execute_flow 的命令行选项 完整编译流程 进行当前设计的完整编译。 compile 编译和仿真流程 如果是时序仿真模式,则执行完整编 译,然后仿真当前设计。如果是功能 仿真模式,则生成功能仿真网表,然 后对当前设计进行仿真。 compile_and_simulate 尝试相似布局流程 对先前编译的设计执行完整编译,在 此过程中 Fitter 会比较先前和当前编 译中的网表和布局,以便在当前编译 中尽可能多地使用先前编译中的节点 布局。 attempt_similar_placement SignalProbe 流程 在不影响设计中现有适配的情况下, 将用户指定信号引至输出引脚,以便 在完成完整编译之前,进行信号调 试。 signalprobe 早期时序估算 进行部分编译,但是在 Fitter 完成之 前,停止并生成早期时序估算。 early_timing_estimate 分区合并 在渐进式综合之后合并设计分区以建立 单一网表,以便后续编译阶段使用。如 果采用渐进式综合,或者在修改设计之 后,没有重新完整编译设计,则必须运 行此命令。 merge f 有关信息 请参阅 使用编译流程 Quartus II Help 中的 “Overview: Using Compilation Flows