3.4.3关系运算符 VHDL中的关系运算符 运算符 功能 相等 不等于 小于 大于 小于等于 大于等于 3.4.4并置运算符 作用是进行位和位矢量的连接,即将并置运算符右边的内容接在左边的内容之后 形成一个新的位矢量。 并置运算符: 三种情况:(1)将两个位连接起来形成一个位矢量; (2)将两个位矢量连接起来形成一个新的位矢量; (3)将位矢量和位连接起来形成一个新的位矢量
3.4.3 关系运算符 运算符 功能 = 相等 /= 不等于 < 小于 > 大于 <= 小于等于 >= 大于等于 VHDL中的关系运算符 3.4.4 并置运算符 作用是进行位和位矢量的连接,即将并置运算符右边的内容接在左边的内容之后 形成一个新的位矢量。 并置运算符: & 三种情况: (1)将两个位连接起来形成一个位矢量; (2)将两个位矢量连接起来形成一个新的位矢量; (3)将位矢量和位连接起来形成一个新的位矢量
★位连接的不同表示方法: 定义信号: signal a, b, c: std_logi signal q: std_ logic_vector(2 downto O); (1)直接连接 q<= a& b& c, (2)聚合连接 q<=(a, 也可采用指定位的脚标来进行位的连接,如: q<=(2=>a,1=>b,0=>0); 3.4.5运算符的优先级 优先级顺序 运算操作符 * not abs mod rem (正号)负号) +(加)-(减)& sI srl sla sra rol ror /<<=>> and or nand nor xor xnor
★位连接的不同表示方法: 定义信号: signal a, b, c: std_logic; signal q: std_logic_vector(2 downto 0); (1)直接连接 q <= a & b & c; (2) 聚合连接 q <=(a, b, c); 也可采用指定位的脚标来进行位的连接,如: q <= (2=>a, 1 =>b, 0=>c); 3.4.5 运算符的优先级 优先级顺序 运算操作符 ** not abs * / mod rem +(正号) -(负号) +(加) -(减) & sll srl sla sra rol ror = /= < <= > >= and or nand nor xor xnor
例:BCD7段显示译码器 BCD码:二进制编码的十进制数。用4位二进制数来对每个十进制数进行编码。 如:905(十进制)=100100000101(BCD) 1、显示器 七段字码显示器:七段可以独立控制的发光二极管ED或液晶显示①CD) 单元组成的8字形,可以用于显示十进制数字或其它字符。 分为:共阴极一七段二极管的阴极都连接地; 共阳极—七段二极管的阳极都连接地
例:BCD-7段显示译码器 BCD码:二进制编码的十进制数。用4位二进制数来对每个十进制数进行编码。 如:905(十进制)=1001 0000 0101 (BCD) 1、显示器 七段字码显示器:七段可以独立控制的发光二极管(LED)或液晶显示(LCD) 单元组成的8字形,可以用于显示十进制数字或其它字符。 分为:共阴极—七段二极管的阴极都连接地; 共阳极—七段二极管的阳极都连接地