812约束 约束的目的:有效的控制优化输出和映射工艺 约束内容:门级电路所占用的面积范围、延迟、功耗和可测性等 81.3属性 属性的作用:对设计的执行环境进行规定。 属性内容:负载、驱动、到达时间等 814工艺庳 工艺库中含有综合过程为建立设计作正确选择的全部信息,如含有ASIC单元 的逻辑功能、含有该单元的面积范围、输入到输出的定时关系等 82综合过程 采用 processing/stat/ start analysis synthesis 或 processing/star/ start analysis& elaboration指令进行分析和综合 ●采用 Tools/ netlist viewer/ RTLviewer 或Tol!/ netlist viewer/ Technology map viewer查看原理图
8.1.2 约束 约束的目的:有效的控制优化输出和映射工艺 约束内容:门级电路所占用的面积范围、延迟、功耗和可测性等 8.1.3 属性 属性的作用:对设计的执行环境进行规定。 属性内容:负载、驱动、到达时间等 8.1.4 工艺库 工艺库中含有综合过程为建立设计作正确选择的全部信息,如含有ASIC单元 的逻辑功能、含有该单元的面积范围、输入到输出的定时关系等 8.2 综合过程 ⚫采用processing/start/start analysis & synthesis 或processing/start/start analysis & elaboration指令进行分析和综合 ⚫采用Tools/netlist viewer/RTL viewer 或Tools/netlist viewer/Technology map viewer查看原理图
第九章存储器设计范侧 91只读存储器(ROM 逻辑功能:在地址信号的选择下从指定存储单元中读取相应的数据 例:16×8只读存储器 rom en-使能端 ddr3…0 adr-地址选择信号输入端 data【7…0 en data-数据输出端 rom的电路符号 library ieee use ieee std logic_1164.all; use ieee std_logic_unsigned. all entity roms port(en: in std _logic; lddr: in std_logic_vector(3 0); data: out std logic_vector(7 downto 0); d rom:
第九章 存储器设计范例 9.1 只读存储器(ROM) 逻辑功能:在地址信号的选择下从指定存储单元中读取相应的数据 例:16×8只读存储器 rom的电路符号 addr[3…0] data[7…0] en –使能端 data – 数据输出端 rom en addr – 地址选择信号输入端 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity rom is port(en:in std_logic; addr: in std_logic_vector(3 downto 0); data:out std_logic_vector(7 downto 0)); end rom;